Using a Configurable Floating Point Multiplier to Trade-Off Runtime Efficiency and Accuracy

Main Article Content

Gurram Pranitha, G. Karthick, N .Umapathi

Abstract

Some degree of calculation inaccuracy is common in statistical applications, such as machine learning and data sensing. Approximate computations can be utilised to conserve energy and increase performance. The output error rate of some approximation solutions is uncontrollable, therefore they can only be employed in a limited number of situations. An approximation floating point multiplier called the CFPU is the primary purpose of this research. Our approach approximates multiplication by omitting the operation's most energy-intensive step and replacing it with a less energy-intensive one. CFPU dynamically picks the inputs that create the biggest approximation error and processes them in exact CFPU mode in order to fine-tune the amount of approximation. When completing at least 4% of multiplications in approximate mode, our CFPU outperforms a typical FPU. These multiplications save a large amount of energy in our evaluated applications. We found that by replacing the CFPU with FPUs on the AMD Southern Island GPU, we were able to reduce power consumption by 77% and improve latency by 3.5 percent for eight common Open CL applications. CFPU also enhances the energy-delay product by 2.4 over the best approximate multipliers currently available.

Article Details

Section
Articles